본문 바로가기

경제_제태크

HPSP,영업이익의 끝판왕 전공정 장비업체

 

 

안녕하세요 오늘은 반도체 전공정 장비업체인 HPSP에 대해서 알아보는 시간을 가져 보겠습니다.

50%의 경이적인 영업이익률로 시장의 관심을 한 몸에 받고 있는 이 회사가 도대체 어떠한 제품을 만들고 있는지 시장에서는 어떠한 평가를 받는지 알아 살펴보도록 하겠습니다.

 

 

도대체 뭘 만드는 회사지

 

HPSP가 만드는 장비는 반도체 전공정에 들어가는 "고압수소 어닐링  장비"인데 처음듣는 용어라 감이 안오시죠, 이게 어떠한 장비인지 그럼 간단히 설명 드리겠습니다.

 

반도체를 만드는 전공정에서 우리가 보통 알고있는 실리콘웨이퍼에 고압수소를 이용해서 수소원자의 전자하나를 실리콘속에 넣어주는 장비입니다, 이러한 작업을 임플런드 공정이라 하는데 여러분들이 알고있는 그 임플란트 맞음니다,심는다 이뜻이죠 ,다시말해서 수소의 전자를 실리콘 원자에 삽입한다 이런 뜻이죠.

 

그럼 왜 실리콘에 전자를 구지 집어 넣어야 할가요, 그것은 가공전 처음 실리콘은 원자와 전자의 상태가 안정된 상태라 이 상태에서는 전자의 움직임이 없어서 전기적 특성을 나타낼수가 없어 반도체 소재로 사용할수가 없는 상태인데 ,여기다 전자 하나를 강제로 넣어 주어 불안정한 상태로 만들어 주게 되면 끄대 부터 전기적 특성을 띠면서 반도체 소재로 가공할 실리콘이 되는 것이죠,바로 이런 역활을 해주는 것이 고압수고 어닐링 장비 입니다.

 

고압수소 어닐링 장비
고압수소 어닐링  장비

 

기존에는 이러한 임플란트 작업을 레이져로 했어고 그걸 잘하는 기업이 이노테크닉스란 기업이었죠,하지만 반도체가 복잡해지고 고집적화 되면서 레이저로 이러한 작업을 하게되면 발생되는 열로 인해 주위 메탈부분이 영향을 받아 수율이 제대로 잡히지 않는 치명적 단점이 있었음니다.

 

그러한 즘에  HPSP가 세계최초리 수소를 이용한 고압수소 어닐링 장비를 개발 하면서 엄청난 영업이익을 거두면서 시장의 관심을 받게 되었습니다.

 

한눈에 보는 회사 얼굴

HPSP

 

위에서도 영업이익에 대해서 말씀드렷지만 정말 미친 영업이죠, 제조업체에서 저런 영업이익은 보기 힘든데 최최의 기술 상용화에 의한 독과점 상태가 저런 이익율 가져다 준다고 할 수 있겠죠.

 

20년 40.52프로, ,21년 49.23프로, 22년 53.48프로, 정말 엽기적인 영억이익률 입니다

 

다음은 수급적으로 대차잔고나 ,차입공매도 비중은 게속 떨어지고 있는 상황이고요 기관들의 목표가는 하반기 들면서 4만원대로 상향하고 있는 추세 입니다.

 

 

 

 

기술적 분석

 

HPSP

 

보다시피 현재의 흐름은 29000원과 39000원 사이 박스권에 뭍혀 있는 그림입니다,특이 사항으로는 실적이 탄탄한 만큼

이번 조정에서도 큰 하락이 없는 모습을 보이며 시장이 반등할즘 벌서 박스권 상단까지 올라온 상태입니다

중요포인트는 박스권 상단을 언제쯤 뚫어 주느냐 이겠죠,이미 실적은 거의다 노출된 상태이다 보니 시장흐름 여부에 따라 주가도 움직일듯한데,시장 상승률 보다는 크게 나타날걸로 보구 있습니다.

만약 박스권을 뚤어주면 개인적으로 6만원선도 가능해 보인다고 예상합니다.

 

 

투자포인트

 

투자 포인트는 앞으로 얼마나 실적이 늘어나냐 인데,전방산업 동향이 중요하겠죠, 한가지 HPSP 장점중에 하나라면 현재 공정에서  HPSP의 장비로 대체하는 비중이 있어서 거기에 대한 수요로 침투율이 높아질수 있다는 것 입니다,

다시말해서 전방업체의 새로운 케파증설 없이도 수요가 발생할수 있다는 잇점이 있고 또다를 한가지 짚어 봐야 할것은

인텔의 파운드리 진출인데 이게 현실화 되면  HPSP의 장비를 안쓸수가 없겠죠.

 

중장기 적으로는 반도체 업게의 추세가 ,SoC,SiC등 고집적화 되어가고 있고,AI반도체와 거기에 맞는 HBM메모리등 반도체의 고성능화가 되고 있기 때문에   "고압수소 어닐링  장비"수요는 여기에 맞게 계속 늘어날 걸로 보구 있습니다.

 

 

 

마지막으로 우려되는 것은 돈이 있는곳에 사람이 모이고 자금이 모이면서 경쟁업체들이 생길수 있다는 것 입니다.

앞으로 이러한 후발업체들의 경쟁을 막아내면서 고수익을 유지하는냐가 일단 큰 관건이 될수 있음니다,

이러한 우려는 HPSP가 바로 신생업체라 이런 걱정을 안할수가 없는 것이죠,아직 이러한 도전에서 극복하는 모습을 보지 못한 상황이라 지금 현재는 너무나 좋지만 앞으로도 계속 좋다라고는 검증 된것이 없기 때문에 함부로 언급 할수는 없지않나 봅니다.

한 예로 비슷한 영업이익률을 보이는 리노공업 같은 경우는 20년이상 생존하면서 꾸준한 이익률을 보여주었기 때문에 충분히 신뢰할수 있는 기업이지만 이런 면에서 HPSP 는 우리에게 보여 주어야 할 것이 아직 많다고 봅니다,투자자 분들도 이러한 점을 관가 해서는 않될거 같구요, 이상으로 HPSP 에 대한 분석을 마치겠습니다.

 

 

한국의 ASML 리노공업 주가,기업 분석

안녕하세요 오늘은 리노공업에 대해서 알아보도록 하겠습니다, 리노고업 좋은 기업이라고는 알고 있었는데 이 정도 인 줄은 몰랐네요, 반도체 업계에서 독보적인 기술력으로 테스팅 소켓의 최

ghostl.tistory.com

 

 

삼화콘덴서 주가 실적, 투자전략및 기업분석

안녕하세요 오늘은 삼화콘덴서에 대해서 알아 보려고 합니다 전기차시대가 도래하면서 차량용 콘덴서 MLCC수요가 급증될걸루 예상되고 있어서 삼화콘덴서의 관심이 한층 고조되고 있는 상황이

ghostl.tistory.com

 

 

LMFP , 삼성SDI 중저가 배터리 시장 장악한다

안녕하세요 오늘은 삼성SDI의 LMFP 배터리에 대해서 알아 볼 게요 중국의 LFP배터리의 시장 점유율이 급격히 높아지고 있는 상황에서 삼성SDI가 선보인 LMFP 배터리는 중저가 시장에서의 새로운 도

ghostl.tistory.com